求高手补全下面的程序, 并说明程序完成的功能。 感激不尽!
本帖最后由 xiong7700 于 2011-9-28 02:31 编辑library ieee;
use ieee.std_logic_1164.all;
entity inverter is
????????????????????????????
y : out std_logic);
???????????????????????????
architecture inverter_1 of inverter is
begin
y< =not a;
end inverter_1;
本程序实现什么功能?有会填的请帮帮我我。 library ieee;
use ieee.std_logic_1164.all;
entity inverter is
port (
a : in std_logic;
y : out std+logic);
end entity inveter;
architecture inverter_1 of inverter is
begin
y <= not a;
end architecture inverter_1;
就是个非的功能,你滴明白??
这是你要的,但是我觉得,加上时序会更好 回复 2# snowinmoon
什么叫非的功能?我是新手 不太理解 回复 3# xiong7700
大哥,数电学过??非,就是取反
页:
[1]