在mux+plus2里面 这段VHDL程序该怎么改~
在mux+plus2里面 这段VHDL程序该怎么改~在mux+plus2里面 总提示Assignment error:sequential signal Assignment cannot contain
conditional waveforms
以下是程序:
library ieee;
use ieee.std_logic_1164.all;
entity mine1 is
port(a,b,c,d:in std_logic_vector(3 downto 0);
next1
ut std_logic_vector(3 downto 0));
end mine1;
architecture one of mine1 is
begin
process(a,b,c,d)
begin
next1<=(1,1,0,1)when a=1101and b=1101else
d when a=1101 else
c when b=1101 else
(1,0,1,1);
end process;
end one; 把进程process去掉<br>
next1<=(’1’,’1’,’0’,’1’)when a=“1101“and b=“1101“else<br>
d when a=“1101“ else<br>
c when b=“1101“ else<br>
(’1’,’0’,’1’,’1’); 楼上的说的有道理! 楼上的有道理 next1<=(’1’,’1’,’0’,’1’)?<br>
直接 next1 <= "1101"不好嘛<br>
<br>
next1 <= "1101"更好了 只是当时不知道哪错了 还以为赋值是出错了呢<br>
<br>
谢谢朋友们 通过了 <br>
刚开始学 对并行和顺序语句 还不是很敏感 这次记忆深刻了 process必须去掉吗?<br>
我觉得好象必须要一样呢<br>
我是新手,还希望大家指教 说点实际的!!!process不必要去掉!! 自己写得时候只觉得不加process是惯例,原来是因为是并行语句阿,学习了,谢谢。 受教了谢谢 process语句中是顺序执行的,无法加入when语句吧
页:
[1]
2