stulab 发表于 2010-9-3 21:37:23

三角波发生电路

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity abc is
port(clk,reset:in std_logic;
       q1:out std_logic_vector(7 downto 0));
end abc;
architecture delta_arc of abc is
begin
process(clk,reset)
variable tmp:std_logic_vector(7 downto 0);
variable a:std_logic;
begin
if reset='1' then
   tmp:="00000000";
   a:='0';
elsif clk'event and clk='1' then
   if a='0' then
       if tmp="11111110" then
          tmp:="11111111";
          a:='1';
      else
         tmp:=tmp+1;
      end if;
    else
      if tmp="00000001" then
         tmp:="00000000";
         a:='0';
   else
tmp:=tmp-1;
end if;
   end if;
end if;
q1<=tmp;
end process;
end delta_arc;


这是我写的三角波程序 仿真的时候产生很多毛刺怎么解决   急求

七缎夕落 发表于 2010-9-30 12:18:58

谢谢分享,前来学习

七缎夕落 发表于 2010-9-30 12:20:06

产生毛刺的解决办法:采用功能仿真。

kpywe 发表于 2010-10-1 15:10:02

谢谢分享,为什么中间有个图标

kpywe 发表于 2010-10-1 15:10:12

谢谢分享,为什么中间有个图标

大鹏 发表于 2022-6-23 16:55:14

三角波发生电路

zxopenhl 发表于 2022-6-24 15:39:22

三角波发生电路
页: [1]
查看完整版本: 三角波发生电路