xilinx 出现Undefined symbol 'IBUFDS' Undefined symbol 'BUFG'.
Reason: the symbol or type is not declared in the VHDL source file。Please declared the symbol or type,usually declared :
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
页:
[1]