集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 962|回复: 1

xilinx的关于create clock的时钟约束

[复制链接]
linvslin16 发表于 2021-11-11 11:49:43 | 显示全部楼层 |阅读模式
xilinx系列。
要输出一个50M时钟和200M的数据,想把数据和时钟沿对齐,一个时钟配四个数据这样。
pll出一个50M和100M时钟,数据通过100M的serdes出,觉得可以得用约束把它对齐一下。
但是是用create clock创建虚拟时钟,还是create_generated_clock创建一个,不懂啊。
create_clock -period 20.000 -name lvds_clk_50 -waveform {0.000 10.000} [get_ports CLK_OUT_p]
create_generated_clock -name lvds_clk_100 -source [get_pins u_LVDS_50_200D_TX/CLK_OUT_p] -multiply_by 2 [get_ports u_LVDS_50_200D_TX/clk_out1]
set_output_delay 0.75 -max -clock TXCLKLVDS [get_ports DATA_OUT_p]
set_output_delay -0.7 -min -clock TXCLKLVDS [get_ports DATA_OUT_p]
set_output_delay 0.75 -max -clock TXCLKLVDS [get_ports DATA_OUT_p] -clock_fall -add_delay
set_output_delay -0.7 -min -clock TXCLKLVDS [get_ports DATA_OUT_p] -clock_fall -add_delay
这样写肯定是不对的,提示no ports matched 和no clock matched。
求高手指教该如何写这个?
大鹏 发表于 2021-11-30 17:10:36 | 显示全部楼层
xilinx的关于create clock的时钟约束
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-11-1 13:29 , Processed in 0.062762 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表