集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 3379|回复: 0

用verilog编写testbench,ModelSim的大牛可否过来指点一下?

[复制链接]
interig 发表于 2010-6-26 02:06:49 | 显示全部楼层 |阅读模式
用verilog编写testbench,ModelSim的大牛可否过来指点一下?
我在用verilog编写testbench的时候,编译通过了,但是给他“Creat Wave”的时候出现了 "No ports found in rs_encoder_testbench" 的错误,不知道是什么原因,我刚接触verilog,写testbench也不是很熟,下面是我写得testbench,大家可否指点一下有什么错误?


`timescale 1ns / 1ps

module rs_encoder_testbench;
    reg clock;
    reg reset;
    reg dvalid;
    reg clk_ena;
    reg [7:0]data_in;
    wire out_enable;
    wire [7:0]data_out;
   
    rs_encoder example ( .clock   (clock)
                      , .reset  (reset)
       , .dvalid   (dvalid)
       , .data_in  (data_in)
       , .data_out (data_out)
       , .out_enable (out_enable)
       , .clk_ena  (clk_ena)
       );

   
   
    always #1 clock = ~clock;
   
    initial
    begin
        reset = 0;
        clock = 0;
        #1 reset = 1;
        #1 reset = 0;
     #1 dvalid=1;
      data_in=10;
     #1 clk_ena=1;
     #40 data_in=45;
     #32 dvalid=0;
     #40 $finish;
    end
   
initial $monitor($time,,,,,,,"clock=%d reset=%d,dvalid=%d,clk_ena=%d,data_in=%d,data_out=%d,out_enable=%d",clock,reset,dvalid,clk_ena,data_in,data_out,out_enable);
endmodule
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-11-23 15:33 , Processed in 0.103774 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表