集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2317|回复: 4

VHDL程序包问题

[复制链接]
kalo 发表于 2016-11-17 14:31:46 | 显示全部楼层 |阅读模式
          请教一下, VHDL程序包里的过程和函数有声明有实现, 其他地方可以直接调用, 但是关于component为什么只能有元件声明, 而没有元件实现呢?
这样别的程序包含这个程序包也不能使用这个元件了吧?  元件实现要在哪里写呢? 能举个例子吗? 谢谢各位~~~
4798345 发表于 2017-3-14 16:04:48 | 显示全部楼层
解决了分享一下
 楼主| kalo 发表于 2017-6-2 14:33:40 | 显示全部楼层
4798345 发表于 2017-3-14 16:04
解决了分享一下

不知道这么写合不合规矩, 只是这样可以使用
--Filename                : ll_pkt.vhd
--Author                : kalo
--Description        : something for my own use


library IEEE;
use IEEE.std_logic_1164.all;

package ll_pkt is

        -- A component for reset signal sync output
        component RST_SYNC               
        port (
                        Clk                : in std_logic;
                        R_async        : in std_logic;
                        R_sync        : out std_logic
                );
        end component;
end ll_pkt;
       

--------------------RST_SYNC----------------------------------
library IEEE;
use IEEE.std_logic_1164.all;

entity RST_SYNC is
        port(
                        Clk                : in std_logic;
                        R_async        : in std_logic;
                        R_sync        : out std_logic
                );
end RST_SYNC;

architecture BEHAVIOR of RST_SYNC is
        signal reg_L1 : std_logic;                --latch async reset signal level 1       
        signal reg_L2 : std_logic;                --latch async reset signal level 2
begin
        R_sync <= reg_L2;                        --reset signal out

        Main : process(Clk, R_async)
        begin
                if (R_async = '0') then
                        reg_L1 <= '0';
                        reg_L2 <= '0';
                elsif (Clk'event and Clk = '1') then
                        reg_L1 <= '1';
                        reg_L2 <= reg_L1;
                end if;
        end process;
end BEHAVIOR;
---------------------------------------------------------------


----------------------package body for other types--------------
package body ll_pkt is
        ----
end ll_pkt;
4798345 发表于 2017-6-3 17:06:55 | 显示全部楼层
kalo 发表于 2017-6-2 14:33
不知道这么写合不合规矩, 只是这样可以使用
--Filename                : ll_pkt.vhd
--Author                : kalo

感谢楼主              
fpga_feixiang 发表于 2024-9-16 14:25:14 | 显示全部楼层
6               
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-10-22 19:35 , Processed in 0.062108 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表