集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1188|回复: 1

一种基于CPLD的PWM控制电路设计之二

[复制链接]
zxopen08 发表于 2017-6-29 09:29:35 | 显示全部楼层 |阅读模式
在原理图中,延迟模块必不可少,其功能是对PWM波形的上升沿进行延时,而不影响下降沿,从而确保桥路同侧不会发生短路.其模块的VHDL程序如下:

  entity delay is

  port(clk: in std_logic;

  input: in std_logic_vector(1 downto 0);

  outputut std_logic_vector(1 downto 0)

  end delay;

  architecture a_delay of delay is

  signal Q1,Q2,Q3,Q4: std_logic;

  begin

  process(clk)

  begin

  if clk'event and clk=‘1' then

  Q3<=Q2;

  Q2<=Q1;

  Q1<=input(1);

  end if;

  end process;

  Q4<=not Q3;

  output(1)<=input(1)and Q3;

  output(0)<=input(0)and Q4;

  end a_delay;


  3 结束语


  采用可编程逻辑器件和硬件描述语言,同时利用其供应商提供的开发工具可大大缩短数字系统的设计时间,节约新产品的开发成本,另外,还具有设计灵活,集成度高,可靠性好,抗干能力强等特点.本文设计的PWM控制电路用于某光测设备的传动装置时,取得了良好的效果.
zxopenljx 发表于 2022-3-2 10:16:50 | 显示全部楼层
一种基于CPLD的PWM控制电路设计之二
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-11-28 17:35 , Processed in 0.056640 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表