集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 2684|回复: 0

MaxPlusII中的VHDL问题!

[复制链接]
小泡泡 发表于 2010-4-21 10:54:59 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-10-29 12:35 编辑

这个程序为什么在MaxPlusII中编译不了?
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
PACKAGE packexp IS
  FUNCTION max (a,b : IN STD_LOGIC_VECTOR)    RETURN STD_LOGIC_VECTOR;
END;

PACKAGE BODY packexp IS
  FUNCTION max (a,b : IN STD_LOGIC_VECTOR)    RETURN STD_LOGIC_VECTOR IS
  Variable TEMP : std_logic_vector;
  BEGIN
    IF (a > b) THEN return a;
    ELSE            return b;    END IF;
  END FUNCTION max;
END;

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE WORK.packexp.ALL;
ENTITY AXAMP IS
  PORT ( dat1,dat2 : IN  STD_LOGIC_VECTOR(3 DOWNTO 0);
         dat3,dat4 : IN  STD_LOGIC_VECTOR(3 DOWNTO 0);
         out1,out2 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0));
END;

ARCHITECTURE BHV OF AXAMP IS
BEGIN
  out1 <= max (dat1,dat2);
  PROCESS (dat3,dat4)
  BEGIN
    out2 <= max (dat3,dat4);
  END PROCESS;
END;
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-28 23:08 , Processed in 0.072683 second(s), 24 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表