集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: fpgaw

至芯科技【夏宇闻教授专栏】与你一起搞定FPGA设计!

[复制链接]
zx023c110 发表于 2012-4-7 17:04:22 | 显示全部楼层
夏老师,你好,我想问一下
Error: (vsim-3009) [TSCALE] - Module 'DACC' does not have a timeunit/timeprecision specification in effect, but other modules do

是什么意思,什么叫timeunit/timeprecision 规格哦??
夏宇闻 该用户已被删除
夏宇闻 发表于 2012-4-8 06:34:35 | 显示全部楼层
夏老师,你好,我想问一下
Error: (vsim-3009) [TSCALE] - Module 'DACC' does not have a timeunit/timep ...
zx023c110 发表于 2012-4-7 17:04

您在模块定义前没有写仿真时间单位和精度的宏定义,即 timescal 1ns/1ns。
ylu 发表于 2012-4-10 09:43:29 | 显示全部楼层
夏老师,您好!我想问下下面的警告是什么问题呢,要不要紧,该怎么解决 Warning: Ignored assignment: set_output_delay -add_delay -max -clock [get_clocks {sys_ctrl:uut_sys_ctrl|PLL_ctrl:uut_PLL_ctrl|altpll:altpll_component|_clk0}] 28.000 [get_ports {vga_b[2]}]
        Warning: Positional argument <targets> with value [get_ports {vga_b[2]}] contains zero elements
        Warning: Positional argument: object_list targets with value [get_ports {vga_b[2]}] contains no output ports
夏宇闻 该用户已被删除
夏宇闻 发表于 2012-4-10 20:57:49 | 显示全部楼层
夏老师,您好!我想问下下面的警告是什么问题呢,要不要紧,该怎么解决 Warning: Ignored assignment: set_ ...
ylu 发表于 2012-4-10 09:43

我觉得可能是综合工具在综合后的布线过程中不清楚具体引脚等输出端所分配的确定位置而提醒您注意。
ylu 发表于 2012-4-10 22:46:41 | 显示全部楼层
回复 304# 夏宇闻


    谢谢夏老师!
zzz 发表于 2012-4-12 08:41:08 | 显示全部楼层
请问函数信号发生器输入的正弦信号是有符号的还是无符号的?
夏宇闻 该用户已被删除
夏宇闻 发表于 2012-4-13 15:23:54 | 显示全部楼层
请问函数信号发生器输入的正弦信号是有符号的还是无符号的?
zzz 发表于 2012-4-12 08:41


二进制数字信号既可以表示正数也可以表示负数。读一下数的表示就可理解。正弦波当然应该有正有负,有过0点才对。所谓正弦波发生器就是隔固定时刻发送出一个按正弦周期幅度变化的二进制有符号数列而已,非常简单。只要把保存在ROM中若干个地址中的一个周期的若干个正弦幅值数据,即二进制数值,循环反复读出即可,再把数值送到DA转换器,就变成模拟正弦波形。
荣华绝代 发表于 2012-4-17 17:45:22 | 显示全部楼层
请问夏老师。spi eeprom的接口设计是怎么回事
夏宇闻 该用户已被删除
夏宇闻 发表于 2012-4-17 21:34:34 | 显示全部楼层
本帖最后由 夏宇闻 于 2012-4-17 21:37 编辑
请问夏老师。spi eeprom的接口设计是怎么回事
荣华绝代 发表于 2012-4-17 17:45

想要把数据写到EEPROM的确定地址单元中,或从某地址中读取数据,读写装置与存储单元之间的通信必须符合SPI协议。所谓SPI接口就是产生控制这个符合SPI协议读写过程时序的电路结构。
ccc 发表于 2012-4-19 05:10:25 | 显示全部楼层
verilog求模运算求解
`timescale 1ns / 1ps
module seg(
    input clk,
    input sw,
    output  [7:0] qg,qs,qb,qq
    );
reg [31:0] count;
reg [13:0] cnt;
reg        [3:0] g,s,b,q;

always@(posedge clk,negedge sw)
        begin
                if(!sw)
                        begin
                                count <= 0;
                                cnt <= 0;
                        end
                else if(count == 50_000_000)   //delay 1s
                        begin
                                count <= 0;
                                cnt <= cnt + 1;
                                g <=        cnt % 10;
                                s <=        cnt / 10 % 10;
                                b <=        cnt / 100 % 10;
                                q <=        cnt / 1000 % 10;
                        end        
                else if(cnt == 9999)
                        cnt <= 0;                                       
                else
                        count <= count + 1;
        end
//assign                g =        cnt % 10;
//assign                s =        cnt / 10 % 10;
//assign                b =        cnt / 100 % 10;
//assign                q =        cnt / 1000 % 10;
        
assign qg = seg(g);
assign qs = seg(s);
assign qb = seg(b);
assign qq = seg(q);

        
function  [7:0] seg;
input [3:0] temp;
        case(temp)
                4'd0        :        seg = 8'h3f;
                4'd1        :        seg = 8'h06;
                4'd2        :        seg = 8'h5b;
                4'd3        :        seg = 8'h4f;
                4'd4        :        seg = 8'h66;
                4'd5        :        seg = 8'h6d;
                4'd6        :        seg = 8'h7d;
                4'd7        :        seg = 8'h07;
                4'd8        :        seg = 8'h7f;
                4'd9        :        seg = 8'h6f;
        default        :        seg = 8'hxx;
        endcase
endfunction

endmodule
这是我写的代码是一个计算0-9999的计数器,在用数码管显示的,现在这个代码有错误通不过检测
错误提示:
ERROR:Xst:867 - "seg.v" line 23: Operator % is only supported when the second operand is a power of 2.
在线等高手求解!谢谢!
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2025-8-23 13:28 , Processed in 0.069782 second(s), 18 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表