集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
楼主: fpgaw

至芯科技【夏宇闻教授专栏】与你一起搞定FPGA设计!

[复制链接]
111222 发表于 2011-10-7 07:58:35 | 显示全部楼层
xilinx的FPGA有标准串口的IP核吗
IPO 发表于 2011-10-7 13:26:03 | 显示全部楼层
用modelsim仿真ROM遇到的问题
我用Quartus II宏功能调用的一个ROM存储正弦波的数据,实现正弦波的输出,但在仿真的时候无法初始化ROM,请问有谁做个这个实验,在modelsim中如何设置的呢?   modelsim不支持mif文件,只支持hex文件     谢谢
夏宇闻 该用户已被删除
夏宇闻 发表于 2011-10-7 15:15:32 | 显示全部楼层
xilinx的FPGA有标准串口的IP核吗
111222 发表于 2011-10-7 07:58



    您认为的标准串口IP究竟是什么?   RS232 ? ,USB?  还是LVDS?
夏宇闻 该用户已被删除
夏宇闻 发表于 2011-10-7 15:28:00 | 显示全部楼层
用modelsim仿真ROM遇到的问题
我用Quartus II宏功能调用的一个ROM存储正弦波的数据,实现正弦波的输出,但 ...
IPO 发表于 2011-10-7 13:26



    您在仿真时编译完后加载前还需要编译该ROM宏组件的仿真库.该库可能在Alteramf.v中。
夏宇闻 该用户已被删除
夏宇闻 发表于 2011-10-7 15:33:39 | 显示全部楼层
本帖最后由 夏宇闻 于 2011-10-7 15:35 编辑
library ieee;
use ieee.std_logic_1164.all;
entity inverter is
????????????????????????????
      ...
111222 发表于 2011-10-7 07:58



    求a的反,所以是一个输入为a的非门。
夏宇闻 该用户已被删除
夏宇闻 发表于 2011-10-7 15:43:27 | 显示全部楼层
本帖最后由 夏宇闻 于 2011-10-7 15:54 编辑
nios碰到的问题
用一个PIO时,很正常
用两个pio时,就没办法用*。tcl文件分配管脚了。
分配时,并未报错 ...
111222 发表于 2011-10-7 07:57

很可能SopcBuild本身存在问题。当然设计者也可能有办法避免,2012年后ALTERA将改用Qsys,当您用Qsys时也许这个问题就不存在了。不过我没有遇到过您碰到的情况不知道如何处理。我认为系统应该给出错误提示才对啊!没有提示说明系统设计存在瑕疵。
chaoting朝廷 发表于 2011-10-7 16:45:45 | 显示全部楼层
回复 47# 夏宇闻


    谢谢夏老师!!!  膜拜您~~
zxopen88 发表于 2011-10-7 19:20:57 | 显示全部楼层
夏老师:你好;
       在这里我想请教你如何使用ISE工具来做设计;
                                              谢谢老师;
111222 发表于 2011-10-8 05:14:37 | 显示全部楼层
关于TDC设计
夏老师:最近我要做个项目,是基于FPGA的专用进位链进行TDC设计,我看了很多资料,其中的推荐使用的延迟线是用加法器实现的,我就不太明白这个加法器是怎么实现的,是先写一个一位加法运算,然后需要多少就用多少连起来还是怎么的,还有就是实现了之后它的延迟怎样设计的,据说是要在chip planner上设计,那个怎么使用,我根本找不到资料啊,希望夏老师能指点一下,万分感谢!!!
111222 发表于 2011-10-8 05:15:10 | 显示全部楼层
求教关于2块FPGA板子互相通信的问题
要实现一个CO-SIMULATION,用QUARTUS 编辑控制程序到FPGA,然后用使用MATLAB 和 SMASH模拟一个现实操作,FPGA作为控制部分通过RS232负责接收数据运算完毕输出结果到模拟部分。可是按照要求要使用2块FPGA,请问如何实现两块板子的通信,本人初学者,非常感谢。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-28 22:35 , Processed in 0.070617 second(s), 18 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表