集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 975|回复: 1

新手上路学FPGA

[复制链接]
zxopen88 发表于 2012-6-7 17:42:34 | 显示全部楼层 |阅读模式
verilog 中可不可以有always 语句中的嵌套always语句啊。

才学,不懂的太多啦;

求高手指点

谢谢
@HDL现场 该用户已被删除
@HDL现场 发表于 2012-6-8 00:28:43 | 显示全部楼层
verilog代码可以分两种,可综合代码和不可综合代码。
可综合代码-----顾名思义就是综合成电路网表,意思就是可以生成实际电路;
不可综合代码-----也顾名思义是不可以综合成网表,意思是不。。。。。。
在设计中,两种代码都一样重要,
首先,可综合是我们的目的代码--------------------硬件设计;
其次,不可综合代码可以让我们随意的设计出仿真波形,来对我们的系统进行仿真。如此,代码就容易编写。
从上面的结论:两种代码同样重要,缺一不可!

对于你的问题,always中嵌套always。可综合代码中肯定不可用,不可综合代码中都少见有些always的,更没见过always嵌套的问题了。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-7-1 05:12 , Processed in 0.063658 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表