集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1546|回复: 4

fpga时序问题,大侠们帮帮忙吧!!!!!

[复制链接]
yishengyizhi 发表于 2012-7-13 21:37:24 | 显示全部楼层 |阅读模式
1.Warning: Can't achieve timing requirement Clock Setup: 'hh:inst1|k:inst6|altpll:altpll_component|_clk0' along 2504 path(s). See Report window for details.
2.Warning: Clock period specified in clock requirement for clock "hh:inst1|k:inst6|altpll:altpll_component|_clk0" must be greater than or equal to the I/O edge rate limit of 5.538 ns in the currently selected device
3.Warning: Can't achieve minimum setup and hold requirement hh:inst1|k:inst6|altpll:altpll_component|_clk0 along 209 path(s). See Report window for details.
这是一个程序的警告信息,这种该怎么解决。时序约束的资料我已经看了很多,可以用timequest写max 。min等时序约束。但就是不知道遇到问题该从哪里下手,有没有具体讲时序约束例子的书籍吗??顺便推荐下吧》》
zombes 发表于 2012-7-15 17:04:01 | 显示全部楼层
一般我都忽略这种问题,这个问题是调用pll出现的?那就给输入到pll的时钟加个约束吧
 楼主| yishengyizhi 发表于 2012-7-15 19:03:59 | 显示全部楼层
我做的是个高速的东西,先通过pll达到200mhz ,再通过相移技术使频率达到800 mhz,我已经看了很多关于时序约束的资料。但是只是感觉是零散的,联系不在一起,可以推荐那种有具体实例的书籍吗?当clock setup 的slack 为负时,。能帮我分析下这个问题该怎么写时序约束?谢谢
@HDL现场 该用户已被删除
@HDL现场 发表于 2012-7-26 15:39:22 | 显示全部楼层
给时钟加条约束,看如果还是没能够解决,
如果是PLL问题,就换芯片吧;
如果是逻辑,则把这个逻辑块再加一级流水,降低组合逻辑的延迟!仅此而已!
tonytse 发表于 2012-7-27 21:47:21 | 显示全部楼层
用PLL的话,输入时钟要给分配到特定的管脚上,这样可以有效地减少时间延迟。LZ可以查阅芯片的GCLK。看看哪几个是GCLK管脚,然后把PLL的输入连到GCLK管脚上。
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-7-1 05:46 , Processed in 0.078472 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表