|
本帖最后由 fpgaw 于 2010-11-19 06:36 编辑
library ieee;
use ieee.std_logic_1164.all;
entity adcint is
port(d:in std_logic_vector(7 downto 0);
clk,eoc:in std_logic;
ale,start,oe,adda,lock0 : out std_logic;
q : out std_logic_vector(7 downto 0));
end adcint;
architecture be of adcint is
type states is (st0,st1,st2,st3,st4);
signal p_state:states:=st0;
signal lock :std_logic;
begin
adda<='1';
lock0<=lock;
com:process(p_state,eoc,clk,lock)
begin
if clk'event and clk='1' then
case p_state is
when st0=>ale<='0';start<='0';lock<='0';oe<='0';
p_state<=st1;
when st1=>ale<='1';start<='1';lock<='0';oe<='0';
p_state<=st2;
when st2=>ale<='0';start<='0';lock<='0';oe<='0';
if(eoc='1') then p_state<=st3;
else p_state<=st2;
end if;
when st3=>ale<='0';start<='0';lock<='0';oe<='1';
p_state<=st4;
when st4=>ale<='0';start<='0';lock<='1';oe<='1';
p_state<=st0;
when others=>p_state<=st0;
end case;
end if;
if lock='1' and lock'event then q<=d;
end if;
end process;
end be; |
|