component DDS
port(
clk: in std_logic;
COSINE: out std_logic_vector(13 downto 0));
end component;
WARNING:Xst:37 - Unknown property "black_box".
WARNING:Xst:647 - Input <clk> is never used.
WARNING:Xst:1305 - Output <COSINE> is never assigned. Tied to value 00000000000000.