集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1788|回复: 0

求图像处理程序注释

[复制链接]
interi 发表于 2010-6-27 23:56:46 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-15 12:30 编辑

有高手能帮忙解释一下下列程序中hstart,hstop,vstart,vstop的作用吗?是用来干嘛的,是一个类似于计数器的信号还是说是一行数据第一个像素的像素值?谢谢
entity hw_calc is
port (
-- interface from sensor
  vclk    : in  std_logic;        -- videoclock
  vsy     : in  std_logic;        -- VSync (Micron: FRAME_VALID-Signal)
  vref    : in  std_logic;        -- Frame Valid
  hsy     : in  std_logic;        -- HSync (Micron: LINE_VALID-Signal)
  href    : in  std_logic;        -- Line Valid (pixel between hstart and hstop)
  vdata   : in  std_logic_vector( 7 downto 0);  -- Video Data (line between vstart and vstop)
  hstart    : in  std_logic_vector(15 downto 0);  -- horizontal start pixel after hsy
  hstop   : in  std_logic_vector(15 downto 0);  -- horizonatl stop pixel after hsy
  vstart    : in  std_logic_vector(15 downto 0);  -- vertical start line after vsy (always 0 in line mode)
  vstop   : in  std_logic_vector(15 downto 0);  -- vertical stop line after vsy (always 0 in line mode)
-- interface to sdram-controller (framebuffer)
  hw_wr   : out std_logic;        -- write enable
  hw_data   : out std_logic_vector( 7 downto 0);  -- data
);
end entity;
architecture hw_calc_arch of hw_calc is
begin
-------------------------------------------------------------------------
--  data processing
-------------------------------------------------------------------------
calc: process (vclk)
begin
if (vclk'event and vclk = '1') then
  if sys_res= '1' then
    hw_data <= x"00";
    hw_wr <= '0';
  else
   hw_data <=vdata;   --invert image
  hw_wr <= '1';
end if;
end if;
end process calc;
end hw_calc_arch;
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-12-24 03:15 , Processed in 0.054821 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表