集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1754|回复: 2

麻烦各位给修改下,我这个实现不了输出的结果,结果直接置位高低电平了..

[复制链接]
interi 发表于 2010-6-28 00:44:22 | 显示全部楼层 |阅读模式
麻烦各位给修改下,我这个实现不了输出的结果,结果直接置位高低电平了..


library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
entity control_4 is
port(  clk: instd_logic;  
   linesy: instd_logic;
  pixelena: out std_logic;
   lineena: out std_logic);
end control_4;
architecture rtl of control_4 is
signal pixelcount:integer;
begin
process(pixelcount,clk,linesy)
  begin
  if linesy='0' then
   null;--pixelcount<=0;
  elsif rising_edge(clk) then
   if(pixelcount=4064) then
    pixelcount<=0;
    lineena<='1';
    pixelena<='0';
   else
    pixelcount<=pixelcount+1;  --行计数
   end if;
  end if;
end process;
end rtl;

出现下面的错误:

Warning: Reduced register "lineena~reg0" with stuck data_in port to stuck value VCC
Warning: Reduced register "pixelena~reg0" with stuck data_in port to stuck value GND
Warning: Output pins are stuck at VCC or GND
Warning: Pin "pixelena" stuck at GND
Warning: Pin "lineena" stuck at VCC
Warning: Design contains 2 input pin(s) that do not drive logic
Warning: No output dependent on input pin "clk"
Warning: No output dependent on input pin "linesy"



谢谢各位了啊~
inter 发表于 2010-6-28 02:33:20 | 显示全部楼层
不会吧~哪位大大帮下忙啊
ups 发表于 2010-6-28 03:58:01 | 显示全部楼层
用什么软件仿真的?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-12-24 09:51 , Processed in 0.054919 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表