fpga论坛|fpga设计论坛

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1617|回复: 1

分析一段VHDL程序

[复制链接]
CHANG 发表于 2010-6-27 23:01:33 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-18 09:58 编辑

初学VHDL的.前几天写了一个简单的记数器,如下,如果我把Q<=Q_S放在进程里面,就是下洚沿记述了。如果我放在进程外面的话才是上升沿计数,不太明白为什么,请各位高人帮指点下



-------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
---------------------------------------------------------------
entitycount is -----异步复位同步记数器
port ( clk ,reset ,en: in std_logic;
       q: out std_logic_vector(3 downto 0 ));
end count ;
-----------------------------------------------------------------
architecture rtl of count is
signal q_s :std_logic_vector(3 downto 0);
begin
------------------------------------------------------------------
process(clk)
begin
ifreset='1'then
q_s<="0000";
elsif clk'event andclk='1' then
if en='1' then
  if q_s="1111" then
  q_s<="0000";
  else
  q_s<=q_s+'1';
end if;
end if ;
end if ;
q<=q_s;
--edn if ;
end process;
--q<=q_s;
end rtl;
Sunlife 发表于 2015-6-25 11:14:49 | 显示全部楼层
初学VHDL的.前几天写了一个简单的记数器,如下,如果我把Q<=Q_S放在进程里面,就是下洚沿记述了
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2025-12-18 14:02 , Processed in 0.062055 second(s), 19 queries .

Powered by Discuz! X3.4

Copyright © 2001-2023, Tencent Cloud.

快速回复 返回顶部 返回列表