集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1733|回复: 0

请帮忙看一下我的错误

[复制链接]
VVC 发表于 2010-6-27 23:27:45 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2010-7-18 13:06 编辑

我在设计频率计中的控制器时碰到的问题


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CONTROL is
  Port ( CLK : in std_logic;
   RSET : in std_logic;
   EN : out std_logic;
   CLR : out std_logic;
LOAD : out std_logic);
end CONTROL;
architecture Behavioral of CONTROL is
SIGNAL A: std_logic;
begin
P1:
PROCESS(CLK,RSET)
BEGIN
IF (RSET='1')THEN
EN<='0';
LOAD<='0';
CLR<='0';
A<='1';
ELSIF (CLK'EVENT AND CLK='1')THEN
A<=NOT A;
END IF;
END PROCESS;
P2:
PROCESS(CLK,A)
BEGIN
IF(CLK='0' AND A='0')THEN
CLR<='1';
ELSE
CLR<='0';
END IF;
END PROCESS;
LOAD<=NOT A;
EN<=A;
end Behavioral;
错误如下:
WARNING:Xst:528 - Multi-source in Unit <control> on signal <CLR> not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit <control> on signal <A> not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit <control> on signal <LOAD> not replaced by logic
Signal is stuck at GND
ERROR:Xst:415 - Synthesis failed
CPU : 1.67 / 3.14 s | Elapsed : 2.00 / 3.00 s
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-12-24 03:00 , Processed in 0.055540 second(s), 19 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表