集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
123
返回列表 发新帖
楼主: usd

分频器的VHDL描述

[复制链接]
 楼主| usd 发表于 2010-6-28 21:20:17 | 显示全部楼层
楼主呵呵!能把别人的弄为自己也是好事情!现在大多这样了
UFO 发表于 2010-6-28 21:59:17 | 显示全部楼层
Count <= (OTHERS =>’0’);有什么用啊
encounter 发表于 2010-6-28 23:27:45 | 显示全部楼层
Count &lt;= (OTHERS =&gt;&rsquo;0&rsquo;);有什么用啊?<br>
对Count 每一位赋0。
longt 发表于 2010-6-29 01:22:31 | 显示全部楼层
还是支持一下!]<br>
同意10楼的<br>
那位高手发布一下!!
longtime 发表于 2010-6-29 03:00:28 | 显示全部楼层
谢谢,正想找相关资料那!!!!
longt 发表于 2010-6-29 03:59:55 | 显示全部楼层
我COPY的,原作者见谅!!!<br>
非整数分频实用例子 分频比=32/7, 拓展可以任意 2^(N)/M,分子比分母大, 理论上可以实现任意精度的小数分频<br>
library ieee;<br>
use ieee.std_logic_1164.all;<br>
use ieee.std_logic_unsigned.all;<br>
<br>
entity fsu2 is<br>
&nbsp;&nbsp;port(clk:in bit;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;f_out
       
ut bit<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;);<br>
end;<br>
<br>
architecture a of fsu2 is<br>
signal q: std_logic_vector(5 downto 0) :="000111";<br>
&nbsp;&nbsp;constant step: std_logic_vector(5 downto 0):="000111";<br>
begin<br>
p1: process(clk)<br>
variable temp: std_logic_vector(5 downto 0);<br>
<br>
begin<br>
if(clk'event and clk='1') then<br>
&nbsp;&nbsp;if q&gt;"011000" and q&lt;="011111" then<br>
&nbsp; &nbsp; temp:= q+step;<br>
&nbsp; &nbsp; q&lt;=temp-"100000";<br>
else<br>
&nbsp;&nbsp;q&lt;=q+step;<br>
&nbsp; &nbsp;end if;<br>
<br>
<br>
<br>
end if;<br>
&nbsp;&nbsp;if (q&gt;"011000" and q&lt;="011111"
       
then <br>
f_out&lt;='1';<br>
else <br>
f_out&lt;='0';<br>
end if;<br>
<br>
end process;<br>
<br>
<br>
end;
Sunlife 发表于 2015-7-8 11:12:43 | 显示全部楼层
                    支持一下
508482294 发表于 2022-1-1 17:28:19 | 显示全部楼层
分频器的VHDL描述
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-11-1 13:38 , Processed in 0.061311 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表