集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
12
返回列表 发新帖
楼主: longt

VHDL实现16位精度锯齿波

[复制链接]
ups 发表于 2010-6-28 10:19:23 | 显示全部楼层
怎么都没人回答呢?
CTT 发表于 2010-6-28 11:16:21 | 显示全部楼层
原帖由 ljmdiy 于 2007-2-7 18:01 发表<br>
library ieee;<br>
use ieee.std_logic_1164.all;<br>
use ieee.std_logic_arith.all;<br>
use ieee.std_logic_unsigned.all;<br>
package sim is<br>
&nbsp;&nbsp;component ncoaw<br>
&nbsp; &nbsp;port( reset :in std_logic;&nbsp;&nbsp;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;clk&nbsp; &nbsp;:in&nbsp;&nbsp;... <br>
这个package有需要吗?<br>
<br>
LZ的代码里不是有这段:<br>
entity ncosaw is<br>
&nbsp; &nbsp; port( reset :in std_logic;&nbsp;&nbsp;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;clk&nbsp; &nbsp;:in std_logic;<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;sync&nbsp;&nbsp;:in std_logic;--同步信号,当sync为1时,相位累加器清0<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;freq&nbsp;&nbsp;:in std_logic_vector(15 downto 0);--输入频率值<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp; &nbsp;saw_out: out std_logic_vector(7 downto 0)<br>
&nbsp; &nbsp;&nbsp; &nbsp;&nbsp;&nbsp;);<br>
end ncosaw;<br>
-----------------------------<br>
-----------------------------<br>
看下面这个<br>
library work;<br>
use work.sim.all;<br>
这样写还有意义吗? 有必要吗?<br>
&nbsp;&nbsp;有点蒙了....&nbsp; &nbsp;<br>
<br>
望大侠赐教~~~<br>
<br>
[ 本帖最后由 ljmdiy 于 2007-2-7 18:04 编辑 ]个人认为,就我目前使用的这些工具来说,不需要。
ANG 发表于 2010-6-28 12:40:32 | 显示全部楼层
从大家的讨论中收获,新手在此感谢~!!!
CTT 发表于 2010-6-28 13:40:23 | 显示全部楼层
看不懂哦<br>
高手解释一下三
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-12-25 01:24 , Processed in 0.056551 second(s), 16 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表