集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
12
返回列表 发新帖
楼主: ccs

有人用过FIFO啊?FIFO的深度

[复制链接]
 楼主| ccs 发表于 2010-8-1 11:04:50 | 显示全部楼层
你那样的话,我估计你写则快了,读侧满了
你如果做了告警的话那写侧马上有满的告警
 楼主| ccs 发表于 2010-8-1 11:06:29 | 显示全部楼层
一方面我在把数据压缩
再一个我想把FIFO加大
 楼主| ccs 发表于 2010-8-1 11:06:57 | 显示全部楼层
一方面我在把数据压缩
再一个我想把FIFO加大
ccs 发表于 2010-8-1 11:06



    那不是好方法
你要做的好方法应该是控制写侧的速度
 楼主| ccs 发表于 2010-8-1 11:07:52 | 显示全部楼层
每行数据是4K 在满足动态的前提下 我用8位数据表示  就只有2KB的数据
嗯  我试试  准备仿真
 楼主| ccs 发表于 2010-8-1 11:08:31 | 显示全部楼层
因为用MODElSIM仿真IP核很满分 我一直买仿真
数据帧是线阵 传感器的数据 每行2048个点
每个点10位
所以是4KB
 楼主| ccs 发表于 2010-8-1 11:10:07 | 显示全部楼层
为什么要做复位信号呢,
你保证读侧读出来的数据是完整的就好了
你复位还是有其他问题的,这点是不可行的
 楼主| ccs 发表于 2010-8-1 11:11:54 | 显示全部楼层
对写地址和读地址分别控制
hng1123 发表于 2011-4-7 12:30:29 | 显示全部楼层
时钟为什么没能够同步啊??
wulicong924 发表于 2012-1-5 14:54:15 | 显示全部楼层
momodewo1212 发表于 2012-4-18 13:19:55 | 显示全部楼层
正在学习 fifo 谢谢分享
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-28 22:52 , Processed in 0.072990 second(s), 17 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表