集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 9075|回复: 21

终于完成4x4矩阵键盘的 Verilog代码了

[复制链接]
yyh1910 发表于 2010-11-5 13:20:18 | 显示全部楼层 |阅读模式
module key(
  input         clk,
  input         rst,
  input   [3:0] row,                 // 矩阵键盘 行
  output reg [3:0] col,                 // 矩阵键盘 列
  output reg [6:0] keyboard_val         // 键盘值      
);
//++++++++++++++++++++++++++++++++++++++
// 状态机部分 开始
//++++++++++++++++++++++++++++++++++++++
// 状态编码
parameter NO_KEY_PRESSED = 6'b000_001;  // 没有按键按下   
parameter SCAN_COL0      = 6'b000_010;  // 扫描第0列  
parameter SCAN_COL1      = 6'b000_100;  // 扫描第1列  
parameter SCAN_COL2      = 6'b001_000;  // 扫描第2列  
parameter SCAN_COL3      = 6'b010_000;  // 扫描第3列  
parameter KEY_PRESSED    = 6'b100_000;  // 有按键按下
//parameter delay          = 6'b111_111;  //延时5ms

reg [5:0] current_state, next_state;    // 现态、次态
reg  key_pressed_flag;          // 键盘按下标志
reg [3:0] col_reg, row_reg;             // 列值、行值
//reg delay_en;       //延时5ms后使能端为1,否则为0
reg [11:0]c0;
//reg [5:0] temp_state;
reg clk0;

always @(posedge clk or negedge rst)
   if(!rst)
     current_state<=NO_KEY_PRESSED;
   else
     current_state<=next_state;  
            
always @(posedge clk0)
begin
     case(current_state)
      NO_KEY_PRESSED:
           if(row!=4'b1111)  next_state=SCAN_COL0;
           else begin next_state=NO_KEY_PRESSED;end
      SCAN_COL0:
         begin
            if(row!=4'b1111)
                  next_state=KEY_PRESSED;
                else next_state=SCAN_COL1;
                     
         end
      SCAN_COL1:
          begin
            if(row!=4'b1111)
                  next_state=KEY_PRESSED;
                else  next_state=SCAN_COL2;
          end
      SCAN_COL2:
          begin
            if(row!=4'b1111)
                  next_state=KEY_PRESSED;
                else  next_state=SCAN_COL3;
          end
      SCAN_COL3:
          begin
            if(row!=4'b1111)
                 next_state=KEY_PRESSED;
                else next_state=NO_KEY_PRESSED;
          end
      KEY_PRESSED:
           if(row!=4'b1111)
             next_state=KEY_PRESSED;
           else next_state=NO_KEY_PRESSED;
     default: next_state=NO_KEY_PRESSED;
    endcase
end  

always @ (posedge clk or negedge rst)
begin
  if (!rst)
  begin
    col<= 4'b0000; key_pressed_flag<=0;
  end
  else
    case(next_state)
      NO_KEY_PRESSED:begin col=4'b0000;key_pressed_flag=0;end   
      SCAN_COL0:  col=4'b1110;
      SCAN_COL1:  col=4'b1101;
      SCAN_COL2:  col=4'b1011;
      SCAN_COL3:  col=4'b0111;
      KEY_PRESSED: begin
                   col_reg=col;row_reg=row;
                   key_pressed_flag=1;
                   end
    endcase  
end

always@(posedge clk0 or negedge rst) begin
    if(!rst) keyboard_val<=7'b0000000;
    else if(key_pressed_flag)
    begin
      case({col_reg,row_reg})
        8'b1110_1110: keyboard_val=7'b1111110; //显示"0"
        8'b1110_1101: keyboard_val=7'b0110011; //显示"4"
        8'b1110_1011: keyboard_val=7'b1111111; //显示"8"
        8'b1110_0111: keyboard_val=7'b1001110; //显示"C"
        8'b1101_1110: keyboard_val=7'b0110000; //显示"1"
        8'b1101_1101: keyboard_val=7'b1011011; //显示"5"
        8'b1101_1011: keyboard_val=7'b1110011; //显示"9"
        8'b1101_0110: keyboard_val=7'b0111101; //显示"d"
        8'b1011_1110: keyboard_val=7'b1101101; //显示"2"
        8'b1011_1101: keyboard_val=7'b1011111; //显示"6"
        8'b1011_1011: keyboard_val=7'b1110111; //显示"A"
        8'b1011_0111: keyboard_val=7'b1001111; //显示"E"
        8'b0111_1110: keyboard_val=7'b1111001; //显示"3"
        8'b0111_1101: keyboard_val=7'b1110000; //显示"7"
        8'b0111_1011: keyboard_val=7'b0011111; //显示"b"
        8'b0111_0111: keyboard_val=7'b1000111; //显示"F"
        default: keyboard_val=7'b0000000;
     endcase
   end
end      

always@(posedge clk)
   if(c0<4000)
      c0=c0+1;
   else begin c0=0; clk0=~clk0;end

endmodule
weibode01 发表于 2010-11-14 09:40:15 | 显示全部楼层
这些大部分用的是判断语句,跟用单片机编是一样的了
weibode01 发表于 2010-11-14 09:42:08 | 显示全部楼层
这是共阴的啊
youdeworld 发表于 2010-11-21 16:30:04 | 显示全部楼层
牛人。。用状态机实现的,,我现在还没有学到状态机这里来啊。。。
weibode01 发表于 2010-11-22 11:01:26 | 显示全部楼层
简单的状态机。。
395170771 发表于 2010-11-23 13:00:14 | 显示全部楼层
学习了,以后再来研究
weibode01 发表于 2010-11-23 14:12:47 | 显示全部楼层
学习要赶紧。。。。
victroy 发表于 2010-11-26 19:36:46 | 显示全部楼层
是啊,时不我待,赶紧学习
weibode01 发表于 2010-11-27 10:26:20 | 显示全部楼层
好累啊,努力努力
pobiyingxiong 发表于 2011-11-10 15:31:48 | 显示全部楼层
怎么仿真出不了结果呢?
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|集成电路技术分享 ( 京ICP备20003123号-1 )

GMT+8, 2024-6-28 22:28 , Processed in 0.068847 second(s), 20 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表