|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter_1024 is
port(datain : in std_logic_vector(7 downto 0);
clk, clr, en, updn, bcdwr : in std_logic;
dataout : out std_logic_vector(7 downto 0) := "00000000";
c : out std_logic := '0');
end counter_1024;
architecture beh of counter_1024 is
begin
process(clk, en)--, clr, bcdwr, updn)
--variable data : std_logic_vector(8 downto 0) := "000000000";
begin
if (en = '1' ) then
c <= '1';
dataout(7 downto 0) <= "00000000";
--else c <='0';
end if;
end process;
end;
可时序分析图中似乎不符合if语句,恳请请各位高手指教啊!谢谢 |
本帖子中包含更多资源
您需要 登录 才可以下载或查看,没有账号?我要注册
x
|