集成电路技术分享

 找回密码
 我要注册

QQ登录

只需一步,快速开始

搜索
查看: 1590|回复: 1

xilinx ip核仿真

[复制链接]
applelonger 发表于 2010-12-20 21:44:51 | 显示全部楼层 |阅读模式
本帖最后由 fpgaw 于 2011-4-9 11:57 编辑

各位xdjm:
    ip核仿真出现输出为高阻是怎么回事啊...(ise没有报错哦,仿真了若干个)
    达人们给点提示吧!
3q

环境:ISE 10.1
源文件

module myfloat(a,b,clk,result
    );
         input [31:0] a;
         input [31:0] b;
         input clk;
         output [31:0] result;
         
         add_float add (
    .a(a), // Bus [31 : 0]
    .b(b), // Bus [31 : 0]
    .clk(clk),
    .result(result)); // Bus [31 : 0]

endmodule

测试文件

module tb_add_float;
        // Inputs
        reg [31:0] a;
        reg [31:0] b;
        reg clk;

        // Outputs
        wire [31:0] result;

        // Instantiate the Unit Under Test (UUT)
        myfloat uut (
                .a(a),
                .b(b),
                .clk(clk),
                .result(result)
        );

        initial begin
                // Initialize Inputs
                a = 0;
                b = 0;
                clk = 0;

                // Wait 100 ns for global reset to finish
                #100;
        
                // Add stimulus here

        end

        always #10 clk = ~clk;
        always #25 a = a + 1000;
        always #25 b = b + 1000;
endmodule
焉柳尔 发表于 2010-12-24 17:01:22 | 显示全部楼层
高手加入qq129107020
您需要登录后才可以回帖 登录 | 我要注册

本版积分规则

关闭

站长推荐上一条 /1 下一条

QQ|小黑屋|手机版|Archiver|fpga论坛|fpga设计论坛 ( 京ICP备20003123号-1 )

GMT+8, 2024-12-28 09:28 , Processed in 0.058544 second(s), 21 queries .

Powered by Discuz! X3.4

© 2001-2023 Discuz! Team.

快速回复 返回顶部 返回列表