很简单的一个记数模块,实现从0到依次加1到255,然后再回到0的计数输出
代码如下
library ieee;
use ieee.std_logic_1164.all;
entity addr is
port ( clock : in std_logic;
addr : buffer integer range 0 to 255);
end addr;
architecture behav of addr is
begin
process (clock)
begin
if (clock'event and clock='1') then addr<=addr+1;
if addr=255 then addr<=0;
end if;
end if;
end process;
end behav;