|
本帖最后由 lcytms 于 2016-11-7 22:11 编辑
先从按键计数模块key_counter.v做起吧!
新建工程文件夹key_counter。
新建key_counter.v文件。
module key_counter (clk, rst_n, key1, key2, data);
input clk, rst_n;
input key1, key2;
output reg [23:0] data;
parameter CNT_NUM = 24'd32; //count 0~31, or 00~1F
always @ (posedge clk or negedge rst_n)
begin
if (!rst_n)
begin
data <= 0;
end
else
begin
if (key1 && key2)
data <= data;
else
begin
if(!key1)
begin
if (data < CNT_NUM - 1)
data <= data + 24'b1;
else
data <= 0;
end
else
begin
if (data > 0)
data <= data - 24'b1;
else
data <= CNT_NUM - 24'b1;
end
end
end
end
endmodule
|
本帖子中包含更多资源
您需要 登录 才可以下载或查看,没有账号?我要注册
x
|