想将两个8位数组合成一个16位的数,这样的VHDL程序怎么写?
想将两个8位数组合成一个16位的数,这样的VHDL程序怎么写? DATE<=Dout1&Dout2,Dout1,Dout2 是8位数,DATE是16位数 请教高手 <br> DATE<={Dout1,Dout2}<br>
这样表述在 VHDL 中合法吗? VHDL中没有楼上这样子用的 DATE<=Dout1&Dout2<br>
这样用应该可以吧 DATE<=Dout1&Dout2<br>
或者<br>
DATE<=Dout2&Dout1 假设 Dout1,Dout2 是两个8位数,DATA是合成的 16位数 <br>
DATA<=Dout1&Dout2 即可 原帖由 limh909 于 2007-1-29 16:00 发表<br>
想将两个8位数组合成一个16位的数,这样的VHDL程序怎么写? 怎么不找本书看一下呢?! library ieee;<br>
use ieee.std_logic_1164.all<br>
<br>
entity a is<br>
port(<br>
d0,d1:in std_logic_vector(7 downto 0);<br>
dout: out std_logic_vector(15 downto 0)<br>
);<br>
end a;<br>
architecture b of a is<br>
begin<br>
dout<=d1&d0;<br>
end b; --------------------------------------------------------------------------------<br>
<br>
DATE<={Dout1,Dout2}<br>
这样表述在 VHDL 中合法吗? <br>
<br>
这是VERILOG里面的写法
页:
[1]
2