IPO 发表于 2010-9-18 12:12:32

判断一个字节里含1的个数(即奇偶校验)最简单有效的办法是什么?

判断一个字节里含1的个数(即奇偶校验)最简单有效的办法是什么?

IPO 发表于 2010-9-18 12:12:46

判断P
判断P

IPO 发表于 2010-9-18 12:12:53

是判断 奇/偶数
还是准确的个数?

victory97 发表于 2010-9-23 12:15:50

异或,结果为0说明奇数,结果为1说明偶数
entiry odd is
port(datain: in std_logic_vector(7 downto 0);
        dataout: out std_logic
        );
end odd;

architecture behave of odd is
signal temp: std_logic;
begin
process(datain)
begin
temp<='1';
for i in 0 to 7 loop
temp<=temp xor data(i);   
end loop;               
dataout<=temp;
end process
end behave;
页: [1]
查看完整版本: 判断一个字节里含1的个数(即奇偶校验)最简单有效的办法是什么?