CHA
发表于 2010-6-28 18:45:17
偶也是新手
usb
发表于 2010-6-28 19:46:05
--串并转换8比特<br>
--同步置零<br>
--8位数据输出<br>
library ieee;<br>
use ieee.std_logic_1164.all;<br>
use ieee.std_logic_unsigned.all;<br>
entity serial_to_line is<br>
port(clk,reset,data:in std_logic;<br>
outdata: out std_logic_vector(7 downto 0));<br>
end serial_to_line;<br>
<br>
architecture behave of serial_to_line is<br>
signal reg_data: std_logic_vector(7 downto 0);<br>
signal reg_count:integer range 8 downto 0;<br>
begin<br>
process(clk)<br>
variable reg_data1:std_logic_vector(7 downto 0);<br>
begin<br>
<br>
if rising_edge(clk) then <br>
case reset is<br>
when '0'=> outdata<="00000000";<br>
reg_data<="00000000";<br>
reg_count<=0;<br>
when '1'=> reg_data1:=reg_data;<br>
reg_data1:=data&reg_data1(7 downto 1);<br>
case reg_count is<br>
when 7 => reg_count<=0;<br>
<br>
outdata<=reg_data1;<br>
reg_data<=reg_data1;<br>
when others=> reg_count<=reg_count+1; <br>
reg_data<=reg_data1; <br>
end case;<br>
when others=>NUll;<br>
end case;<br>
end if; <br>
--reg_data<=reg_data1;<br>
end process;<br>
end architecture behave;
tim
发表于 2010-6-28 20:04:10
看uart的说明文档
longt
发表于 2010-6-28 21:25:22
哪里有74165的例子呢?
interige
发表于 2010-6-28 23:15:29
http://bbs.vibesic.com/images/smilies/default/smile.gif
我自己写的,给点意见